NEU! UPGRADE IP 10 verfügbar!
Optimierte Darstellung von VISU Editor und VISU Client - sowie viele weitere Verbesserungen
Infos im Wiki: https://elabnet.atlassian.net/l/cp/8HzePCm3

Insider & Leistungsmerkmale FÜR ALLE freigeschaltet
Ab sofort kann jeder die neue VISU & IFTTT testen. Info: viewtopic.php?f=8&t=5074

Release V 4 am 15. Juni 2024
Es gibt nun einen fixen Termin. Info: viewtopic.php?f=8&t=5117

NEU! Ausführliches Video Tutorial zur IP 10
Jetzt werden alle Fragen beantwortet. Das Video: https://youtu.be/_El-zaC2Rrs

[V4.0 IP3] Brauche Hilfe bei Logik Tag/Nacht

Informationen und Diskussionen über Logik-Engine und Logik-Editor
Forumsregeln
  • Denke bitte an aussagekräftige Titel und gebe dort auch die [Firmware] an. Wenn ETS oder CometVisu beteiligt sind, dann auch deren Version
  • Bitte mache vollständige Angaben zu Deinem Server, dessen ID und dem Online-Status in Deiner Signatur. Hilfreich ist oft auch die Beschreibung der angeschlossener Hardware sowie die verwendeten Protokolle
  • Beschreibe Dein Projekt und Dein Problem bitte vollständig. Achte bitte darauf, dass auf Screenshots die Statusleiste sichtbar ist
  • Bitte sei stets freundlich und wohlwollend, bleibe beim Thema und unterschreibe mit deinem Vornamen. Bitte lese alle Regeln, die Du hier findest: https://wiki.timberwolf.io/Forenregeln

StefanW
Elaborated Networks
Reactions:
Beiträge: 9750
Registriert: So Aug 12, 2018 9:27 am
Wohnort: Frauenneuharting
Hat sich bedankt: 4867 Mal
Danksagung erhalten: 7766 Mal
Kontaktdaten:

#11

Beitrag von StefanW »

Hi,

wenn hier ein spezieller Baustein gewünscht ist, dann bitte eine - separate - Diskussion zur Abstimmung und dann ein detaillierter Change-Request.

Weil mit mal "eben schnell uns was hingeworfen" habe ich die schlechte Erfahrung gemacht, dass man es danach doch anders wollte und wir es nochmal machen sollten und das ist nicht effizient.

Also bitte erst Abstimmen und dann Vorschlagen. Wir machen gerne soviele Logikbausteine wie sinnhaft ist.

lg

Stefan
Zuletzt geändert von StefanW am So Jan 15, 2023 7:45 pm, insgesamt 1-mal geändert.
Stefan Werner
Product Owner für Timberwolf Server, 1-Wire und BlitzART
Bitte WIKI lesen. Allg. Support nur im Forum. Bitte keine PN
Zu Preisen, Lizenzen, Garantie, HW-Defekt an service at elabnet dot de

Link zu Impressum und Datenschutzerklärung oben.
Benutzeravatar

jensgulow
Reactions:
Beiträge: 322
Registriert: Fr Apr 19, 2019 4:37 pm
Hat sich bedankt: 66 Mal
Danksagung erhalten: 136 Mal

#12

Beitrag von jensgulow »

Hallo,

ich habe das bei mir mit einer (zwar uneleganten, aber für mich funktionierenden) Custom-Logik erschlagen. Diese nimmt als Eingänge Sonnenaufgang und Untergang sowie die aktuelle Uhrzeit als Linuxformat aus den entsprechenden Bausteinen und vergleicht dann. Bei mir ist fix 60 min vor Sonnenaufgang sowie vor Sonnenuntergang einprogrammiert - kann man auch mit entsprechenden Eingängen machen. Für mich hat es so gepasst(weil ich einige Schaltvorgänge eben schon vor Sonnenaufgang oder -untergang haben möchte).

Code: Alles auswählen

/**
 * Dämmerung - 60 min
 * Der Logikbaustein sendet TRUE, wenn die aktelle Uhrzeit zwischen den beiden angegebenen Zeiten liegt.
 * Hierbei wird die Zeitspanne Dämmerung früh -60 min bis Dämmerung abends -60 min beachtet.
 * Grundlage ist die Dämmerung aus dem Astrobaustein.
 * Davor und danach wird FALSE gesendet.
 * Über Zyklus [s] kann gesteuert werden, wie oft die Prüfung stattfindet und der Ausgangswert ggfs.
 * aktualisiert wird.
 * 
 * Nutzungsrechts: Der Schöpfer dieser Custom Logik überträgt die Nutzungsrechte gemäß der TOLL 
 * ("Timberwolf Open Logikblock License") die unter https://wrgt.news/TOLL zum Download zur Verfügung steht."
 * 
 * Erstellt am 10.01.2021 von Jens Gulow
 * 
 * V1.0 (10.01.2021): Initial Release
 */

{
  "_Meta": { // Optional
    "Description": "Dämmerung -60 min",
    "Version": "1.0",
    "Icon": "data:image/svg+xml;base64,PD94bWwgdmVyc2lvbj0iMS4wIiBlbmNvZGluZz0iVVRGLTgiIHN0YW5kYWxvbmU9Im5vIj8+CjwhLS0gR2VuZXJhdG9yOiBBZG9iZSBJbGx1c3RyYXRvciAxNi4wLjAsIFNWRyBFeHBvcnQgUGx1Zy1JbiAuIFNWRyBWZXJzaW9uOiA2LjAwIEJ1aWxkIDApICAtLT4KCjxzdmcKICAgeG1sbnM6ZGM9Imh0dHA6Ly9wdXJsLm9yZy9kYy9lbGVtZW50cy8xLjEvIgogICB4bWxuczpjYz0iaHR0cDovL2NyZWF0aXZlY29tbW9ucy5vcmcvbnMjIgogICB4bWxuczpyZGY9Imh0dHA6Ly93d3cudzMub3JnLzE5OTkvMDIvMjItcmRmLXN5bnRheC1ucyMiCiAgIHhtbG5zOnN2Zz0iaHR0cDovL3d3dy53My5vcmcvMjAwMC9zdmciCiAgIHhtbG5zPSJodHRwOi8vd3d3LnczLm9yZy8yMDAwL3N2ZyIKICAgeG1sbnM6c29kaXBvZGk9Imh0dHA6Ly9zb2RpcG9kaS5zb3VyY2Vmb3JnZS5uZXQvRFREL3NvZGlwb2RpLTAuZHRkIgogICB4bWxuczppbmtzY2FwZT0iaHR0cDovL3d3dy5pbmtzY2FwZS5vcmcvbmFtZXNwYWNlcy9pbmtzY2FwZSIKICAgdmVyc2lvbj0iMS4xIgogICB4PSIwcHgiCiAgIHk9IjBweCIKICAgd2lkdGg9IjM2MXB4IgogICBoZWlnaHQ9IjM2MXB4IgogICB2aWV3Qm94PSIwIDAgMzYxIDM2MSIKICAgc3R5bGU9ImVuYWJsZS1iYWNrZ3JvdW5kOm5ldyAwIDAgMzYxIDM2MTsiCiAgIHhtbDpzcGFjZT0icHJlc2VydmUiCiAgIGlkPSJzdmcyIgogICBpbmtzY2FwZTp2ZXJzaW9uPSIwLjkxIHIxMzcyNSIKICAgc29kaXBvZGk6ZG9jbmFtZT0id2VhdGhlcl9zdW5yaXNlLnN2ZyI+PHNvZGlwb2RpOm5hbWVkdmlldwogICAgIHBhZ2Vjb2xvcj0iI2ZmZmZmZiIKICAgICBib3JkZXJjb2xvcj0iIzY2NjY2NiIKICAgICBib3JkZXJvcGFjaXR5PSIxIgogICAgIG9iamVjdHRvbGVyYW5jZT0iMTAiCiAgICAgZ3JpZHRvbGVyYW5jZT0iMTAiCiAgICAgZ3VpZGV0b2xlcmFuY2U9IjEwIgogICAgIGlua3NjYXBlOnBhZ2VvcGFjaXR5PSIwIgogICAgIGlua3NjYXBlOnBhZ2VzaGFkb3c9IjIiCiAgICAgaW5rc2NhcGU6d2luZG93LXdpZHRoPSIxOTIwIgogICAgIGlua3NjYXBlOndpbmRvdy1oZWlnaHQ9IjEwMjciCiAgICAgaWQ9Im5hbWVkdmlldzEwNCIKICAgICBzaG93Z3JpZD0iZmFsc2UiCiAgICAgaW5rc2NhcGU6em9vbT0iMC42NTM3Mzk2MSIKICAgICBpbmtzY2FwZTpjeD0iMi4yOTQ0OTE1IgogICAgIGlua3NjYXBlOmN5PSIxODAuNSIKICAgICBpbmtzY2FwZTp3aW5kb3cteD0iLTgiCiAgICAgaW5rc2NhcGU6d2luZG93LXk9Ii04IgogICAgIGlua3NjYXBlOndpbmRvdy1tYXhpbWl6ZWQ9IjEiCiAgICAgaW5rc2NhcGU6Y3VycmVudC1sYXllcj0ic3ZnMiIgLz48bWV0YWRhdGEKICAgICBpZD0ibWV0YWRhdGExMDgiPjxyZGY6UkRGPjxjYzpXb3JrCiAgICAgICAgIHJkZjphYm91dD0iIj48ZGM6Zm9ybWF0PmltYWdlL3N2Zyt4bWw8L2RjOmZvcm1hdD48ZGM6dHlwZQogICAgICAgICAgIHJkZjpyZXNvdXJjZT0iaHR0cDovL3B1cmwub3JnL2RjL2RjbWl0eXBlL1N0aWxsSW1hZ2UiIC8+PGRjOnRpdGxlPjwvZGM6dGl0bGU+PC9jYzpXb3JrPjwvcmRmOlJERj48L21ldGFkYXRhPjxkZWZzCiAgICAgaWQ9ImRlZnMxMDYiIC8+PGcKICAgICBpZD0id2VhdGhlcl94NUZfc3VucmlzZSI+PGcKICAgICAgIGlkPSJnNSI+PGcKICAgICAgICAgaWQ9Imc3Ij48cGF0aAogICAgICAgICAgIGlkPSJwYXRoOSIKICAgICAgICAgICBkPSJNMjMyLjM2NSwyNjcuMDUyYy0xNi4yNzksMC0zMi41NTksMC00OC44MzgsMGMtNi40NDksMC02LjQ0OSwxMCwwLDEwYzE2LjI3OSwwLDMyLjU1OSwwLDQ4LjgzOCwwICAgICBDMjM4LjgxMywyNzcuMDUyLDIzOC44MTMsMjY3LjA1MiwyMzIuMzY1LDI2Ny4wNTJMMjMyLjM2NSwyNjcuMDUyeiIKICAgICAgICAgICBzdHlsZT0iZmlsbDojMDAwMDAwOyIgLz48L2c+PC9nPjxnCiAgICAgICBpZD0iZzExIj48ZwogICAgICAgICBpZD0iZzEzIj48cGF0aAogICAgICAgICAgIGlkPSJwYXRoMTUiCiAgICAgICAgICAgZD0iTTcxLjkyMywyMTcuNDQ5YzYuMTg0LDYuMTg0LDEyLjM2NywxMi4zNjYsMTguNTUsMTguNTQ5YzQuNTY0LDQuNTYzLDExLjYzNS0yLjUwNyw3LjA3MS03LjA3ICAgICBjLTYuMTg0LTYuMTg0LTEyLjM2Ny0xMi4zNjYtMTguNTUtMTguNTQ5Qzc0LjQzMSwyMDUuODE1LDY3LjM2LDIxMi44ODYsNzEuOTIzLDIxNy40NDlMNzEuOTIzLDIxNy40NDl6IgogICAgICAgICAgIHN0eWxlPSJmaWxsOiMwMDAwMDA7IiAvPjwvZz48L2c+PGcKICAgICAgIGlkPSJnMTciPjxnCiAgICAgICAgIGlkPSJnMTkiPjxwYXRoCiAgICAgICAgICAgaWQ9InBhdGgyMSIKICAgICAgICAgICBkPSJNMTI3LjE0LDE3MS44MjZjMCwxNi4yNzksMCwzMi41NTcsMCw0OC44MzZjMCw2LjQ0OCwxMCw2LjQ0OCwxMCwwYzAtMTYuMjc5LDAtMzIuNTU4LDAtNDguODM2ICAgICBDMTM3LjE0LDE2NS4zNzcsMTI3LjE0LDE2NS4zNzcsMTI3LjE0LDE3MS44MjZMMTI3LjE0LDE3MS44MjZ6IgogICAgICAgICAgIHN0eWxlPSJmaWxsOiMwMDAwMDA7IiAvPjwvZz48L2c+PGcKICAgICAgIGlkPSJnMjMiPjxnCiAgICAgICAgIGlkPSJnMjUiPjxwYXRoCiAgICAgICAgICAgaWQ9InBhdGgyNyIKICAgICAgICAgICBkPSJNMjAxLjI3LDE5NC4zOTVjLTExLjUxMiwxMS41MTEtMjMuMDI0LDIzLjAyMi0zNC41MzYsMzQuNTM0ICAgICBjLTQuNTYzLDQuNTYzLDIuNTA3LDExLjYzNSw3LjA3MSw3LjA3YzExLjUxMS0xMS41MTEsMjMuMDIzLTIzLjAyMiwzNC41MzUtMzQuNTM0ICAgICBDMjEyLjkwNCwxOTYuOTAxLDIwNS44MzMsMTg5LjgzLDIwMS4yNywxOTQuMzk1TDIwMS4yNywxOTQuMzk1eiIKICAgICAgICAgICBzdHlsZT0iZmlsbDojMDAwMDAwOyIgLz48L2c+PC9nPjxnCiAgICAgICBpZD0iZzI5Ij48ZwogICAgICAgICBpZD0iZzMxIj48cGF0aAogICAgICAgICAgIGlkPSJwYXRoMzMiCiAgICAgICAgICAgZD0iTTIzMi4zNjUsMjY3LjA1MmMtMTYuMjc5LDAtMzIuNTU5LDAtNDguODM4LDBjLTYuNDQ5LDAtNi40NDksMTAsMCwxMGMxNi4yNzksMCwzMi41NTksMCw0OC44MzgsMCAgICAgQzIzOC44MTMsMjc3LjA1MiwyMzguODEzLDI2Ny4wNTIsMjMyLjM2NSwyNjcuMDUyTDIzMi4zNjUsMjY3LjA1MnoiCiAgICAgICAgICAgc3R5bGU9ImZpbGw6IzAwMDAwMDsiIC8+PC9nPjwvZz48ZwogICAgICAgaWQ9ImczNSI+PGcKICAgICAgICAgaWQ9ImczNyI+PHBhdGgKICAgICAgICAgICBpZD0icGF0aDM5IgogICAgICAgICAgIGQ9Ik0xMjcuMTQsMTcxLjgyNmMwLDE2LjI3OSwwLDMyLjU1NywwLDQ4LjgzNmMwLDYuNDQ4LDEwLDYuNDQ4LDEwLDBjMC0xNi4yNzksMC0zMi41NTgsMC00OC44MzYgICAgIEMxMzcuMTQsMTY1LjM3NywxMjcuMTQsMTY1LjM3NywxMjcuMTQsMTcxLjgyNkwxMjcuMTQsMTcxLjgyNnoiCiAgICAgICAgICAgc3R5bGU9ImZpbGw6IzAwMDAwMDsiIC8+PC9nPjwvZz48ZwogICAgICAgaWQ9Imc0MSI+PGcKICAgICAgICAgaWQ9Imc0MyI+PHBhdGgKICAgICAgICAgICBpZD0icGF0aDQ1IgogICAgICAgICAgIGQ9Ik0yMDEuMjcsMTk0LjM5NWMtMTEuNTEyLDExLjUxMS0yMy4wMjQsMjMuMDIyLTM0LjUzNiwzNC41MzQgICAgIGMtNC41NjMsNC41NjMsMi41MDcsMTEuNjM1LDcuMDcxLDcuMDdjMTEuNTExLTExLjUxMSwyMy4wMjMtMjMuMDIyLDM0LjUzNS0zNC41MzQgICAgIEMyMTIuOTA0LDE5Ni45MDEsMjA1LjgzMywxODkuODMsMjAxLjI3LDE5NC4zOTVMMjAxLjI3LDE5NC4zOTV6IgogICAgICAgICAgIHN0eWxlPSJmaWxsOiMwMDAwMDA7IiAvPjwvZz48L2c+PGcKICAgICAgIGlkPSJnNDciPjxnCiAgICAgICAgIGlkPSJnNDkiPjxwYXRoCiAgICAgICAgICAgaWQ9InBhdGg1MSIKICAgICAgICAgICBkPSJNMTk1LjA1OCwyMzguNzA5Yy00LjU4NCwxLjg5OC05LjE2OCwzLjc5OC0xMy43NTIsNS42OTZjLTIuNTE2LDEuMDQyLTQuMjgzLDMuMjc1LTMuNDkyLDYuMTUgICAgIGMwLjY1NSwyLjM4MywzLjYyOCw0LjUzNyw2LjE1LDMuNDkyYzQuNTg0LTEuODk4LDkuMTY4LTMuNzk4LDEzLjc1Mi01LjY5NmMyLjUxNi0xLjA0Miw0LjI4My0zLjI3NSwzLjQ5Mi02LjE1ICAgICBDMjAwLjU1MywyMzkuODE4LDE5Ny41OCwyMzcuNjY0LDE5NS4wNTgsMjM4LjcwOUwxOTUuMDU4LDIzOC43MDl6IgogICAgICAgICAgIHN0eWxlPSJmaWxsOiMwMDAwMDA7IiAvPjwvZz48L2c+PGcKICAgICAgIGlkPSJnNTMiPjxnCiAgICAgICAgIGlkPSJnNTUiPjxwYXRoCiAgICAgICAgICAgaWQ9InBhdGg1NyIKICAgICAgICAgICBkPSJNMTUzLjczMywyMDYuNDU2Yy0xLjg5OSw0LjU4NC0zLjc5OCw5LjE2OC01LjY5NiwxMy43NTJjLTEuMDQ1LDIuNTIyLDEuMTEsNS40OTUsMy40OTIsNi4xNSAgICAgYzIuODc1LDAuNzkxLDUuMTA4LTAuOTc3LDYuMTUtMy40OTJjMS44OTktNC41ODQsMy43OTgtOS4xNjgsNS42OTYtMTMuNzUyYzEuMDQ1LTIuNTIyLTEuMTEtNS40OTUtMy40OTItNi4xNSAgICAgQzE1Ny4wMDksMjAyLjE3MywxNTQuNzc1LDIwMy45NCwxNTMuNzMzLDIwNi40NTZMMTUzLjczMywyMDYuNDU2eiIKICAgICAgICAgICBzdHlsZT0iZmlsbDojMDAwMDAwOyIgLz48L2c+PC9nPjxnCiAgICAgICBpZD0iZzU5Ij48ZwogICAgICAgICBpZD0iZzYxIj48cGF0aAogICAgICAgICAgIGlkPSJwYXRoNjMiCiAgICAgICAgICAgZD0iTTEwMS4yOTYsMjA5LjExNGMxLjg5OCw0LjU4NCwzLjc5Nyw5LjE2OCw1LjY5NiwxMy43NTJjMS4wNDIsMi41MTYsMy4yNzUsNC4yODMsNi4xNSwzLjQ5MiAgICAgYzIuMzgyLTAuNjU1LDQuNTM3LTMuNjI4LDMuNDkyLTYuMTVjLTEuODk4LTQuNTg0LTMuNzk3LTkuMTY4LTUuNjk2LTEzLjc1MmMtMS4wNDItMi41MTYtMy4yNzUtNC4yODMtNi4xNS0zLjQ5MiAgICAgQzEwMi40MDYsMjAzLjYxOSwxMDAuMjUxLDIwNi41OTIsMTAxLjI5NiwyMDkuMTE0TDEwMS4yOTYsMjA5LjExNHoiCiAgICAgICAgICAgc3R5bGU9ImZpbGw6IzAwMDAwMDsiIC8+PC9nPjwvZz48ZwogICAgICAgaWQ9Imc2NSI+PGcKICAgICAgICAgaWQ9Imc2NyI+PHBhdGgKICAgICAgICAgICBpZD0icGF0aDY5IgogICAgICAgICAgIGQ9Ik03My41OTksMjUyLjQyN2MyLjY0OCwxLjA5Nyw1LjI5NiwyLjE5NCw3Ljk0NCwzLjI5MWMyLjUyMywxLjA0NSw1LjQ5NS0xLjEwOSw2LjE1LTMuNDkyICAgICBjMC43OTEtMi44NzUtMC45NzYtNS4xMDgtMy40OTItNi4xNWMtMi42NDgtMS4wOTctNS4yOTYtMi4xOTQtNy45NDQtMy4yOTFjLTIuNTIzLTEuMDQ1LTUuNDk1LDEuMTA5LTYuMTUsMy40OTIgICAgIEM2OS4zMTUsMjQ5LjE1MSw3MS4wODMsMjUxLjM4NSw3My41OTksMjUyLjQyN0w3My41OTksMjUyLjQyN3oiCiAgICAgICAgICAgc3R5bGU9ImZpbGw6IzAwMDAwMDsiIC8+PC9nPjwvZz48cGF0aAogICAgICAgaWQ9InBhdGg3MSIKICAgICAgIGQ9Ik05OC41NTQsMjg1LjI5M2wwLTI0LjQ4NCAgIGMwLTExLjA2Myw4Ljk2OS0yMC4wMzEsMjAuMDMyLTIwLjAzMWgyNS43N2MxMS4wNjMsMCwyMC4wMzIsOC45NjksMjAuMDMyLDIwLjAzMnYyNC40ODMiCiAgICAgICBzdHlsZT0iZmlsbDpub25lO3N0cm9rZTojMDAwMDAwO3N0cm9rZS13aWR0aDoxMDtzdHJva2UtbWl0ZXJsaW1pdDoxMDsiIC8+PGcKICAgICAgIGlkPSJnNzMiPjxnCiAgICAgICAgIGlkPSJnNzUiPjxwYXRoCiAgICAgICAgICAgaWQ9InBhdGg3NyIKICAgICAgICAgICBkPSJNMjg2LjE2NiwyODEuMzM0Yy00OC43MTgsMC05Ny40MzUsMC0xNDYuMTUyLDBjLTIxLjY5NSwwLTQzLjM5MSwwLTY1LjA4NiwwICAgICBjLTYuNDQ5LDAtNi40NDksMTAsMCwxMGM0OC43MTcsMCw5Ny40MzUsMCwxNDYuMTUyLDBjMjEuNjk1LDAsNDMuMzkxLDAsNjUuMDg2LDAgICAgIEMyOTIuNjE0LDI5MS4zMzQsMjkyLjYxNCwyODEuMzM0LDI4Ni4xNjYsMjgxLjMzNEwyODYuMTY2LDI4MS4zMzR6IgogICAgICAgICAgIHN0eWxlPSJmaWxsOiMwMDAwMDA7IiAvPjwvZz48L2c+PGcKICAgICAgIGlkPSJnNzkiPjxnCiAgICAgICAgIGlkPSJnODEiPjxwYXRoCiAgICAgICAgICAgaWQ9InBhdGg4MyIKICAgICAgICAgICBkPSJNNzguOSwyNjcuMDUyYy0xLjMyNCwwLTIuNjQ4LDAtMy45NzIsMGMtMi43MjYsMC01LDIuMjc0LTUsNXMyLjI3NCw1LDUsNSAgICAgYzEuMzI0LDAsMi42NDgsMCwzLjk3MiwwYzIuNzI2LDAsNS0yLjI3NCw1LTVTODEuNjI1LDI2Ny4wNTIsNzguOSwyNjcuMDUyTDc4LjksMjY3LjA1MnoiCiAgICAgICAgICAgc3R5bGU9ImZpbGw6IzAwMDAwMDsiIC8+PC9nPjwvZz48ZwogICAgICAgaWQ9Imc4NSI+PGcKICAgICAgICAgaWQ9Imc4NyI+PHBhdGgKICAgICAgICAgICBpZD0icGF0aDg5IgogICAgICAgICAgIGQ9Ik0xMTUuNzgxLDI4MS41NzRjMC00LjIyNCwwLTguNDQ3LDAtMTIuNjdjMC05LjQyOCw4LjY2Ni05LjUzMSwxNS41MzYtOS41MzEgICAgIGM2LjgzNiwwLDE2LjUxNS0wLjQ1OSwxNi41MTUsOS4yNjFjMCw0LjMxMywwLDguNjI3LDAsMTIuOTRjMCwxLjkzNSwzLDEuOTM1LDMsMGMwLTUuNTMsMC45MzMtMTEuOTUxLTAuNzcxLTE3LjI4OSAgICAgYy0yLjU3My04LjA2MS0xMC45MzgtNy45MTItMTcuNzY0LTcuOTEyYy03LjQ2NywwLTE0LjkyOC0wLjQzMy0xOC41OTIsNy41NTRjLTIuMjY3LDQuOTQxLTAuOTIzLDEyLjM4NS0wLjkyMywxNy42NDcgICAgIEMxMTIuNzgxLDI4My41MDksMTE1Ljc4MSwyODMuNTA5LDExNS43ODEsMjgxLjU3NEwxMTUuNzgxLDI4MS41NzR6IgogICAgICAgICAgIHN0eWxlPSJmaWxsOiMwMDAwMDA7IiAvPjwvZz48L2c+PHBhdGgKICAgICAgIGlkPSJwYXRoOTEiCiAgICAgICBkPSJNMjExLjUyOSwxMTkuMTY2ICAgYzAsMy42MjQsMi42MzUsNi41Niw1Ljg4Nyw2LjU2aDQ1LjYyMWMzLjI1MSwwLDUuODg3LTIuOTM3LDUuODg3LTYuNTZWOTEuNjExYzAtMy42MjItMi42MzYtNi41NTktNS44ODctNi41NTloLTQ1LjYyMSAgIGMtMy4yNTIsMC01Ljg4NywyLjkzNy01Ljg4Nyw2LjU1OVYxMTkuMTY2eiIKICAgICAgIHN0eWxlPSJmaWxsOiMwMDAwMDA7c3Ryb2tlOiMwMDAwMDA7c3Ryb2tlLXdpZHRoOjEwO3N0cm9rZS1taXRlcmxpbWl0OjEwOyIgLz48cGF0aAogICAgICAgaWQ9InBhdGg5MyIKICAgICAgIGQ9Ik0xMTMuNjE2LDEwOS4zNjYgICBjMCwyLjQ5NS0yLjAyMyw0LjUxOS00LjUxOSw0LjUxOUg4OC4wMDljLTIuNDk1LDAtNC41MTktMi4wMjQtNC41MTktNC41MTl2LTIuODA0YzAtMi40OTUsMi4wMjMtNC41MTksNC41MTktNC41MTloMjEuMDg4ICAgYzIuNDk1LDAsNC41MTksMi4wMjQsNC41MTksNC41MTlWMTA5LjM2NnoiCiAgICAgICBzdHlsZT0iZmlsbDojMDAwMDAwO3N0cm9rZTojMDAwMDAwO3N0cm9rZS13aWR0aDoxMDtzdHJva2UtbWl0ZXJsaW1pdDoxMDsiIC8+PHBhdGgKICAgICAgIGlkPSJwYXRoOTUiCiAgICAgICBkPSJNMjg2LjY5MywxMTEuNDkxICAgYzAsMy42ODMtMi45ODYsNi42NjgtNi42NjksNi42NjhoLTMxLjEyMmMtMy42ODIsMC02LjY2OC0yLjk4NS02LjY2OC02LjY2OHYtOC44OTZjMC0zLjY4MywyLjk4Ni02LjY2OCw2LjY2OC02LjY2OGgzMS4xMjIgICBjMy42ODMsMCw2LjY2OSwyLjk4Niw2LjY2OSw2LjY2OFYxMTEuNDkxeiIKICAgICAgIHN0eWxlPSJmaWxsOiMwMDAwMDA7c3Ryb2tlOiMwMDAwMDA7c3Ryb2tlLXdpZHRoOjEwO3N0cm9rZS1taXRlcmxpbWl0OjEwOyIgLz48cGF0aAogICAgICAgaWQ9InBhdGg5NyIKICAgICAgIGQ9Ik0yMzMuMDM5LDExMy45NCAgIGMwLDMuNjgzLTIuOTg2LDYuNjY4LTYuNjY4LDYuNjY4aC0zMS4xMjJjLTMuNjgzLDAtNi42NjktMi45ODUtNi42NjktNi42Njh2LTguODk2YzAtMy42ODMsMi45ODYtNi42NjgsNi42NjktNi42NjhoMzEuMTIyICAgYzMuNjgyLDAsNi42NjgsMi45ODYsNi42NjgsNi42NjhWMTEzLjk0eiIKICAgICAgIHN0eWxlPSJmaWxsOiMwMDAwMDA7c3Ryb2tlOiMwMDAwMDA7c3Ryb2tlLXdpZHRoOjEwO3N0cm9rZS1taXRlcmxpbWl0OjEwOyIgLz48cGF0aAogICAgICAgaWQ9InBhdGg5OSIKICAgICAgIGQ9Ik0xNjQuOTc2LDg2LjA1OCAgIGMwLDMuNzkyLTMuMDc2LDYuODY5LTYuODY4LDYuODY5aC0zMi4wNTZjLTMuNzkyLDAtNi44NjgtMy4wNzctNi44NjgtNi44Njl2LTQuMjYyYzAtMy43OTIsMy4wNzYtNi44NjksNi44NjgtNi44NjloMzIuMDU2ICAgYzMuNzkyLDAsNi44NjgsMy4wNzcsNi44NjgsNi44NjlWODYuMDU4eiIKICAgICAgIHN0eWxlPSJmaWxsOiMwMDAwMDA7c3Ryb2tlOiMwMDAwMDA7c3Ryb2tlLXdpZHRoOjEwO3N0cm9rZS1taXRlcmxpbWl0OjEwOyIgLz48cGF0aAogICAgICAgaWQ9InBhdGgxMDEiCiAgICAgICBkPSJNMTc1LjkxMiw5MC4wODggICBjMCwzLjUwMS0yLjg0LDYuMzQyLTYuMzQyLDYuMzQyaC05LjE4OGMtMy41MDIsMC02LjM0MS0yLjg0LTYuMzQxLTYuMzQydi0zLjkzNWMwLTMuNTAxLDIuODM5LTYuMzQyLDYuMzQxLTYuMzQyaDkuMTg4ICAgYzMuNTAyLDAsNi4zNDIsMi44NCw2LjM0Miw2LjM0MlY5MC4wODh6IgogICAgICAgc3R5bGU9ImZpbGw6IzAwMDAwMDtzdHJva2U6IzAwMDAwMDtzdHJva2Utd2lkdGg6MTA7c3Ryb2tlLW1pdGVybGltaXQ6MTA7IiAvPjwvZz48ZwogICAgIGlkPSJFYmVuZV8xIiAvPjwvc3ZnPg==" // format: "data:image/svg+xml;base64,ENCODED_FILE"
  },
  "Input": [
      ["Aktuelle Zeit","Linux-Timestamp", "$linuxtimestamp", "u"],
      ["Dämmerung früh","Morgendämmerung", "$daemmerung_frueh", "c"],
      ["Dämmerung abends","Abenddämmerung", "$daemmerung_abend", "c"]
  ],
  "Output": [
      ["Ausgang","Wenn aktuelle Zeit im Zeitfenster, Ausgang = 1, sonst 0", "$Output", "c"]
  ],
  "Level": [

	["$linuxtimestamp","integer", 0],
	["$daemmerung_frueh","integer", 0],
	["$daemmerung_frueh_minus_1h","integer", 0],
	["$daemmerung_abend","integer", 0],
	["$daemmerung_abend_minus_1h","integer", 0],
	["$Const1","integer",1],
	["$Const3600","integer",3600],
	["$morgendaemmerung","bool", false],
	["$abenddaemmerung","bool", false],
	["$Output","bool", false]

   ],
  "Module": [

	// Von Dämmerungszeit früh 60 min subtrahieren
	["Polynomial", "-$Const1", "$daemmerung_frueh_minus_1h", ["$daemmerung_frueh", "$Const3600"]],
	// Von Dämmerungszeit abends 60 min subtrahieren
	["Polynomial", "-$Const1", "$daemmerung_abend_minus_1h", ["$daemmerung_abend", "$Const3600"]],
	// Prüfen, ob  $linuxtimestamp > $daemmerung_frueh_minus_1h
	["Comparator", "$linuxtimestamp" , "$morgendaemmerung" , "$daemmerung_frueh_minus_1h" ],
	// Prüfen, ob  "$daemmerung_abend_minus_1h > $linuxtimestamp
	["Comparator", "$daemmerung_abend_minus_1h" , "$abenddaemmerung" , "$linuxtimestamp" ],
	// AND Verknüpfung: ist $morgendaemmerung und $abenddaemmerung beides gleich 1 -> dann wahr, sonst false
	["And", ["$morgendaemmerung", "$abenddaemmerung"], "$Output"]
  ]
}
Tag_Nacht_Logik.JPG
Vielleicht hilft es ja.
Du hast keine ausreichende Berechtigung, um die Dateianhänge dieses Beitrags anzusehen.
Viele Grüße

Jens

_____________________________________________________________________
TWS 2600#394 , TWS 3500L#1051, VPN offen, Reboot erlaubt
Was wird genutzt? -> TWS, KNX, 1-wire, MODBUS, Http-REST-API, IFTTT, Enocean, Amazon Alexa

Robert_Mini
Reactions:
Beiträge: 3744
Registriert: So Aug 12, 2018 8:44 am
Hat sich bedankt: 1168 Mal
Danksagung erhalten: 2076 Mal

#13

Beitrag von Robert_Mini »

Hallo Jens!

Danke für deinen Baustein. Wäre auch eine Option für das Wiki, etwas flexibler als die Lösung von StefanK.

OT: Warum jeweils 60min vor Dämmerung? Gerade am Abend hätte ich da eher +60min erwartet. Oder ist das der Offset für die Zeitzone?

Danke und lg
Robert
Timberwolf Server 2500 / #117 (VPN offen + reboot nach Rückfrage) / zusätzlich: 3500M/#935, 3500L/#1297
Benutzeravatar

jensgulow
Reactions:
Beiträge: 322
Registriert: Fr Apr 19, 2019 4:37 pm
Hat sich bedankt: 66 Mal
Danksagung erhalten: 136 Mal

#14

Beitrag von jensgulow »

Hallo Robert,

gerade im Haus ist es zur Dämmerung schon zu finster - da habe ich einige Schaltvorgänge schon auf Dämmerung -20 min vorverlegt. Aber flexibler wäre man natürlich, wenn man die Zeit vor/nach Dämmerung in der Logik als input einbauen könnte.
Viele Grüße

Jens

_____________________________________________________________________
TWS 2600#394 , TWS 3500L#1051, VPN offen, Reboot erlaubt
Was wird genutzt? -> TWS, KNX, 1-wire, MODBUS, Http-REST-API, IFTTT, Enocean, Amazon Alexa

moritzw
Reactions:
Beiträge: 69
Registriert: Di Jan 01, 2019 1:54 pm
Hat sich bedankt: 29 Mal
Danksagung erhalten: 35 Mal

#15

Beitrag von moritzw »

Moin zusammen,

Ich habe bei mir das Tag/Nacht Signal einfach über die mdt-wetterstation realisiert. Ich brauche eh einen windsensor für die Jalousien und Helligkeitssensoren sind da mit drin.

Nacht ist bei mir z.b wenn 40 lux unterschritten werden, Tag ist über 100lux.

Viele Grüße
Moritz
Timberwolf 950Q ID:333, Support-VPN: offen, Reboot erlaubt
Antworten

Zurück zu „Logikengine & Logik-Editor“